CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - i2c controller

搜索资源列表

  1. RD1006--I2C

    1下载:
  2. RD1006--I2C与存储器的IP 代码及说明文档,lattice提供,I2C Controller for Serial EEPROMs 源代码可用,并且包含tb文件-RD1006 -- I2C and memory IP code and documentation. Lattice offer I2C Controller for Serial EEPROMs source code available, and document contains tb -
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:206145
    • 提供者:刘超
  1. i2c

    0下载:
  2. I2C controller的源码,包括TESTBENCH在内,里面包含有EEPROM的behaving model,前些日子在本站下了一个EEPROM的behaving model,发现可能只是作者的初版,里面错误比较多,因此上传一个能编译拿过来就能用的环境。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:16779
    • 提供者:二马
  1. i2c读写代码C语言

    0下载:
  2. i2c读写代码C语言,通过控制I2C控制器,设置I2C时序来读写E2PROM的源代码,很有帮助-i2c read and write C-language code by controlling I2C controller, I2C set E2PROM timing to read and write the source code, helpful
  3. 所属分类:通讯/手机编程

    • 发布日期:2008-10-13
    • 文件大小:20549
    • 提供者:seal_luo
  1. I2C.rar

    0下载:
  2. MPC8548上I2C控制器的初始化,读写单个字节,连续读写多个字节等函数.调试通过,觉得可用。,I2C controller on the MPC8548 initialization, read and write a single byte, multiple bytes, such as reading and writing continuous function. Debug through that can be used.
  3. 所属分类:VxWorks

    • 发布日期:2017-03-28
    • 文件大小:16276
    • 提供者:李洁
  1. I2C

    0下载:
  2. 用verilog HDL实现I2C Master Controller 的设计,包括主程序设计和测试程序设计-Verilog HDL using I2C Master Controller to achieve the design, including the main program design and test program design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:212580
    • 提供者:zbs
  1. 280xI2C

    0下载:
  2. ti dsp2808的I2C程序例子。2808自带I2C控制器,直接通过设置寄存器就可以控制I2C了-ti dsp2808 an example of the I2C procedures. 2808 comes with I2C controller directly can control by setting the registers of the I2C
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:8982
    • 提供者:lxl
  1. twi_i2c

    0下载:
  2. atmel的at91sam9260芯片的I2C控制器读写程序,外部eeprom为at24lc256,通过调试,已用于实际应用-atmel chip I2C controller of at91sam9260 reading and writing program, the external eeprom for at24lc256, through commissioning, has been used in the practical application of
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:2034
    • 提供者:陈剑
  1. S3C2410_I2C_Controller

    0下载:
  2. liunx下S3C2410 I2C主机驱动 S3C2410 I2C Controller-liunx under S3C2410 I2C host driver S3C2410 I2C Controller
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-17
    • 文件大小:13955
    • 提供者:lan
  1. Document

    0下载:
  2. I2C 控制器的 Verilog的PDF文件-I2C controller Verilog the PDF document
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:187470
    • 提供者:杜丽丽
  1. TestFixture

    0下载:
  2. I2C 控制器的 Verilog测试源程序-I2C controller Verilog source test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:9405
    • 提供者:杜丽丽
  1. Source

    0下载:
  2. I2C 控制器的 Verilog源程序2-I2C controller Verilog source 2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:8943
    • 提供者:杜丽丽
  1. I2C

    0下载:
  2. IIC控制器的verilog实现,通过mcu接口对iic slave器件进行控制-IIC controller Verilog realize
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-16
    • 文件大小:348453
    • 提供者:yu
  1. I2C

    0下载:
  2. i2c做控制器,温度传感器输出数据通过i2c到FPFA.-do i2c controller, temperature sensor output i2c data to FPFA.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-10
    • 文件大小:1215649
    • 提供者:calm
  1. I2cControllerReferenceDesign

    0下载:
  2. I2C,Controller,FPGA设计方法-I2C Controller design mathod for FPGA
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:42998
    • 提供者:yangyanwen
  1. i2c

    0下载:
  2. 用VHDL写的I2C控制器,可以读写EEPROM,比较经典。-Written with VHDL I2C controller, you can read and write EEPROM, more classic.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:3581
    • 提供者:wwww
  1. I2C_controller_core

    0下载:
  2. or1200 i2c controller core-i2c controller core
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1494481
    • 提供者:sun
  1. I2C-controller

    0下载:
  2. I2C控制器,能够实现I2C总线的读写功能,具有一定的借鉴意义-I2C controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:270264
    • 提供者:liu qiang
  1. I2C-Controller

    0下载:
  2. I2C Controller for Serial EEPROMs, 包括源代码和说明文档,可以仿真-I2C Controller for Serial EEPROMs
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:394085
    • 提供者:amy
  1. I2C Controller Code

    0下载:
  2. I2C Controller source code
  3. 所属分类:VHDL编程

  1. i2c controller

    0下载:
  2. a source code for I2c written in vhdl
  3. 所属分类:VHDL编程

    • 发布日期:2016-01-19
    • 文件大小:1479691
    • 提供者:mojeeb.shirdel
« 12 3 4 5 6 7 8 9 10 ... 13 »
搜珍网 www.dssz.com